aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100/name2.vhdl
blob: 702c5823b8488da22a9fe3df1941ad4db6966fb9 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity name2 is
end name2;

architecture behav of name2 is
  signal samples: bit;
begin
  process
  begin
    bit'samples);
  end process;
end behav;