aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-08-04 19:20:43 +0200
committerTristan Gingold <tgingold@free.fr>2020-08-04 19:20:43 +0200
commit05bbe09ac1f6fc9243bca3de7e156fee155e8184 (patch)
tree219e48a0c724aa03b59f46531d238b753f79ae8e /testsuite
parent4dd28fa272167fd8c02a8f95ab8bcb10f3ea9601 (diff)
downloadghdl-05bbe09ac1f6fc9243bca3de7e156fee155e8184.tar.gz
ghdl-05bbe09ac1f6fc9243bca3de7e156fee155e8184.tar.bz2
ghdl-05bbe09ac1f6fc9243bca3de7e156fee155e8184.zip
testsuite/gna: add a test for #1128.
Close #1128
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1128/test.vhdl14
-rwxr-xr-xtestsuite/gna/issue1128/testsuite.sh11
2 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue1128/test.vhdl b/testsuite/gna/issue1128/test.vhdl
new file mode 100644
index 000000000..5de688c2d
--- /dev/null
+++ b/testsuite/gna/issue1128/test.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test is
+ port(
+ input : in unsigned := "0011");
+end entity;
+
+architecture rtl of test is
+ signal copy : input'subtype;
+begin
+end architecture;
+
diff --git a/testsuite/gna/issue1128/testsuite.sh b/testsuite/gna/issue1128/testsuite.sh
new file mode 100755
index 000000000..1d84c0f57
--- /dev/null
+++ b/testsuite/gna/issue1128/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze test.vhdl
+elab_simulate test
+
+clean
+
+echo "Test successful"