diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-08-04 19:19:25 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-08-04 19:19:25 +0200 |
commit | 4dd28fa272167fd8c02a8f95ab8bcb10f3ea9601 (patch) | |
tree | 5185c98f10e3a50e27b840fc18791a7d49f51968 /testsuite | |
parent | 83a03454a3b213d214c11f1bafa364d2dfe1d5c5 (diff) | |
download | ghdl-4dd28fa272167fd8c02a8f95ab8bcb10f3ea9601.tar.gz ghdl-4dd28fa272167fd8c02a8f95ab8bcb10f3ea9601.tar.bz2 ghdl-4dd28fa272167fd8c02a8f95ab8bcb10f3ea9601.zip |
testsuite/synth: add a test for #1424
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/synth/issue1424/bar.vhdl | 18 | ||||
-rwxr-xr-x | testsuite/synth/issue1424/testsuite.sh | 9 |
2 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/synth/issue1424/bar.vhdl b/testsuite/synth/issue1424/bar.vhdl new file mode 100644 index 000000000..39cb9ca7b --- /dev/null +++ b/testsuite/synth/issue1424/bar.vhdl @@ -0,0 +1,18 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; + +entity bar is + port ( + input : in unsigned(3 downto 0); + output : out std_logic + ); +end bar; + +architecture bar of bar is +begin + output <= '1' when input(3 downto 0) = conv_unsigned(7, 4) + else '0'; +end bar; + + diff --git a/testsuite/synth/issue1424/testsuite.sh b/testsuite/synth/issue1424/testsuite.sh new file mode 100755 index 000000000..cfd56bd0d --- /dev/null +++ b/testsuite/synth/issue1424/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS="--std=08 -fsynopsys" +synth_analyze bar +clean + +echo "Test successful" |