aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-01-03 18:11:02 +0100
committerTristan Gingold <tgingold@free.fr>2022-01-03 18:11:02 +0100
commit89fc56f98e81a9fa390e4e80ddefff72e68237e7 (patch)
treea5af152d7450160c731becd7748eed50b8769c97 /testsuite/synth
parentf0dbb54419b7b6cbc8548ed4702ff057942c4cb3 (diff)
downloadghdl-89fc56f98e81a9fa390e4e80ddefff72e68237e7.tar.gz
ghdl-89fc56f98e81a9fa390e4e80ddefff72e68237e7.tar.bz2
ghdl-89fc56f98e81a9fa390e4e80ddefff72e68237e7.zip
testsuite/synth: add a test for #1938
Diffstat (limited to 'testsuite/synth')
-rw-r--r--testsuite/synth/issue1938/test.vhdl11
-rwxr-xr-xtestsuite/synth/issue1938/testsuite.sh8
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/issue1938/test.vhdl b/testsuite/synth/issue1938/test.vhdl
new file mode 100644
index 000000000..a84bf73c2
--- /dev/null
+++ b/testsuite/synth/issue1938/test.vhdl
@@ -0,0 +1,11 @@
+package gen_pkg is
+ generic (N : integer);
+end gen_pkg;
+
+entity test is
+end entity test;
+
+architecture simple of test is
+ package pks is new work.gen_pkg generic map (N => 1);
+begin
+end architecture simple;
diff --git a/testsuite/synth/issue1938/testsuite.sh b/testsuite/synth/issue1938/testsuite.sh
new file mode 100755
index 000000000..1bd00c114
--- /dev/null
+++ b/testsuite/synth/issue1938/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_only test
+
+echo "Test successful"