aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1938/test.vhdl
blob: a84bf73c2892af5fa2f87ebf00494bd63c33daca (plain)
1
2
3
4
5
6
7
8
9
10
11
package gen_pkg is
   generic (N : integer);
end gen_pkg;

entity test is
end entity test;

architecture simple of test is
   package pks is new work.gen_pkg generic map (N => 1);
begin
end architecture simple;