aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-01-18 06:53:41 +0100
committerTristan Gingold <tgingold@free.fr>2022-01-18 06:53:41 +0100
commit0e46300c437e39747ebe65e6eae5a131477d28c9 (patch)
treeed6a4da19178b078af8466f0f21cb8ef122a1311 /testsuite/synth
parentc22b2f1586880a495f2f027193f9265e82552302 (diff)
downloadghdl-0e46300c437e39747ebe65e6eae5a131477d28c9.tar.gz
ghdl-0e46300c437e39747ebe65e6eae5a131477d28c9.tar.bz2
ghdl-0e46300c437e39747ebe65e6eae5a131477d28c9.zip
testsuite/synth: add a test for #1951
Diffstat (limited to 'testsuite/synth')
-rw-r--r--testsuite/synth/issue1951/ent.vhdl21
-rwxr-xr-xtestsuite/synth/issue1951/testsuite.sh8
2 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/synth/issue1951/ent.vhdl b/testsuite/synth/issue1951/ent.vhdl
new file mode 100644
index 000000000..07e24320f
--- /dev/null
+++ b/testsuite/synth/issue1951/ent.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_unsigned.all;
+
+entity ent is
+end entity;
+
+architecture a of ent is
+
+signal scale_product_twice_biased : std_logic_vector(3 downto 0);
+signal shift_value : std_logic_vector(3 downto 0);
+
+begin
+
+ shift_value <= (scale_product_twice_biased) - (-1);
+
+-- process begin
+-- report "Hello world" severity note;
+-- wait;
+-- end process;
+end;
diff --git a/testsuite/synth/issue1951/testsuite.sh b/testsuite/synth/issue1951/testsuite.sh
new file mode 100755
index 000000000..f8d05daef
--- /dev/null
+++ b/testsuite/synth/issue1951/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=-fsynopsys
+synth_only ent
+
+echo "Test successful"