aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/synth/issue1951/ent.vhdl21
-rwxr-xr-xtestsuite/synth/issue1951/testsuite.sh8
2 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/synth/issue1951/ent.vhdl b/testsuite/synth/issue1951/ent.vhdl
new file mode 100644
index 000000000..07e24320f
--- /dev/null
+++ b/testsuite/synth/issue1951/ent.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_unsigned.all;
+
+entity ent is
+end entity;
+
+architecture a of ent is
+
+signal scale_product_twice_biased : std_logic_vector(3 downto 0);
+signal shift_value : std_logic_vector(3 downto 0);
+
+begin
+
+ shift_value <= (scale_product_twice_biased) - (-1);
+
+-- process begin
+-- report "Hello world" severity note;
+-- wait;
+-- end process;
+end;
diff --git a/testsuite/synth/issue1951/testsuite.sh b/testsuite/synth/issue1951/testsuite.sh
new file mode 100755
index 000000000..f8d05daef
--- /dev/null
+++ b/testsuite/synth/issue1951/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=-fsynopsys
+synth_only ent
+
+echo "Test successful"