diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-05-29 18:44:06 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-05-29 18:56:34 +0200 |
commit | 0e81b5f513517fff8b1c2043ada8f2b954f42e16 (patch) | |
tree | 868eb91ddb63ccadc45ea8694c33daa8b1c604cf /testsuite/synth/subprg01 | |
parent | d3939d44c07dcdb8c80b522269126392c72c9505 (diff) | |
download | ghdl-0e81b5f513517fff8b1c2043ada8f2b954f42e16.tar.gz ghdl-0e81b5f513517fff8b1c2043ada8f2b954f42e16.tar.bz2 ghdl-0e81b5f513517fff8b1c2043ada8f2b954f42e16.zip |
testsuite/synth/subprg01: adjust test to avoid memory.
Diffstat (limited to 'testsuite/synth/subprg01')
-rw-r--r-- | testsuite/synth/subprg01/subprg02.vhdl | 4 |
1 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/synth/subprg01/subprg02.vhdl b/testsuite/synth/subprg01/subprg02.vhdl index 76a2ba381..6939a1d1b 100644 --- a/testsuite/synth/subprg01/subprg02.vhdl +++ b/testsuite/synth/subprg01/subprg02.vhdl @@ -5,6 +5,7 @@ entity subprg02 is port (a : std_logic_vector (3 downto 0); n : natural range 0 to 1; clk : std_logic; + n0 : out std_logic_vector (3 downto 0); na : out std_logic_vector (3 downto 0)); end subprg02; @@ -24,5 +25,8 @@ begin neg (mem (n)); na <= mem (n); end if; + + -- FIXME: this is needed so that MEM is not considered as a memory. + n0 <= mem (0); end process; end behav; |