diff options
author | Tristan Gingold <tgingold@free.fr> | 2020-03-10 20:42:02 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2020-03-10 20:42:02 +0100 |
commit | be40560c399be55a0145feec81a07fa89bf6704f (patch) | |
tree | 745c592bcd93eb9733e55a4dcced4e630518bf87 /testsuite/synth/oper02/tb_max01.vhdl | |
parent | 63e0082aa92df57f99347ace07b706b51b7fd4c9 (diff) | |
download | ghdl-be40560c399be55a0145feec81a07fa89bf6704f.tar.gz ghdl-be40560c399be55a0145feec81a07fa89bf6704f.tar.bz2 ghdl-be40560c399be55a0145feec81a07fa89bf6704f.zip |
testsuite/synth: add tests for previous commit.
Diffstat (limited to 'testsuite/synth/oper02/tb_max01.vhdl')
-rw-r--r-- | testsuite/synth/oper02/tb_max01.vhdl | 26 |
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/oper02/tb_max01.vhdl b/testsuite/synth/oper02/tb_max01.vhdl new file mode 100644 index 000000000..d5a458034 --- /dev/null +++ b/testsuite/synth/oper02/tb_max01.vhdl @@ -0,0 +1,26 @@ +entity tb_max01 is +end tb_max01; + +library ieee; +use ieee.std_logic_1164.all; + +architecture behav of tb_max01 is + signal l, r : natural; + signal res : natural; +begin + max01_1: entity work.max01 + port map ( + a => l, + b => r, + o => res); + + process + begin + l <= 12; + r <= 15; + wait for 1 ns; + assert res = 15 severity failure; + + wait; + end process; +end behav; |