aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1951/tb_sub01.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-01-18 07:50:14 +0100
committerTristan Gingold <tgingold@free.fr>2022-02-05 17:06:03 +0100
commitc953b83b8e7957125b14036f9368a6a7acf7f130 (patch)
tree8a6faf8be4e7375eb2e64319ffbee003fa43a098 /testsuite/synth/issue1951/tb_sub01.vhdl
parent45d1b9308910d85bd391e2aabf882f1069dce649 (diff)
downloadghdl-c953b83b8e7957125b14036f9368a6a7acf7f130.tar.gz
ghdl-c953b83b8e7957125b14036f9368a6a7acf7f130.tar.bz2
ghdl-c953b83b8e7957125b14036f9368a6a7acf7f130.zip
testsuite/synth: add more tests for subtraction in std_logic_unsigned
Diffstat (limited to 'testsuite/synth/issue1951/tb_sub01.vhdl')
-rw-r--r--testsuite/synth/issue1951/tb_sub01.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/issue1951/tb_sub01.vhdl b/testsuite/synth/issue1951/tb_sub01.vhdl
new file mode 100644
index 000000000..f47abe5de
--- /dev/null
+++ b/testsuite/synth/issue1951/tb_sub01.vhdl
@@ -0,0 +1,20 @@
+entity tb_sub01 is
+end tb_sub01;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_sub01 is
+ signal i, o : std_logic_vector(3 downto 0) := x"0";
+begin
+ dut: entity work.sub01
+ port map (i => i, o => o);
+
+ process
+ begin
+ i <= x"0";
+ wait for 1 ns;
+ assert o = x"1" severity failure;
+ wait;
+ end process;
+end behav;