aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1945/tb_alias02.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-01-11 19:39:05 +0100
committerTristan Gingold <tgingold@free.fr>2022-01-12 08:30:18 +0100
commit4b97bbb1830f10ec17e07c5d312e35a83019a9ce (patch)
tree1fcf531958528e80bd6403b25a59c168aec87e83 /testsuite/synth/issue1945/tb_alias02.vhdl
parent82bc056875df996138ffa54b89f52663dfb17f1a (diff)
downloadghdl-4b97bbb1830f10ec17e07c5d312e35a83019a9ce.tar.gz
ghdl-4b97bbb1830f10ec17e07c5d312e35a83019a9ce.tar.bz2
ghdl-4b97bbb1830f10ec17e07c5d312e35a83019a9ce.zip
testsuite/synth: add a test for #1945
Diffstat (limited to 'testsuite/synth/issue1945/tb_alias02.vhdl')
-rw-r--r--testsuite/synth/issue1945/tb_alias02.vhdl26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/issue1945/tb_alias02.vhdl b/testsuite/synth/issue1945/tb_alias02.vhdl
new file mode 100644
index 000000000..ccc2ee17f
--- /dev/null
+++ b/testsuite/synth/issue1945/tb_alias02.vhdl
@@ -0,0 +1,26 @@
+entity tb_alias02 is
+end tb_alias02;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_alias02 is
+ signal o : std_logic_vector(7 downto 0);
+ signal i : std_logic;
+begin
+ dut: entity work.alias02
+ port map (i => i, o => o);
+
+ process
+ begin
+ i <= '1';
+ wait for 1 ns;
+ assert o = x"ff" severity failure;
+
+ i <= '0';
+ wait for 1 ns;
+ assert o = x"c3" severity failure;
+
+ wait;
+ end process;
+end behav;