aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1860
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-09-11 19:20:02 +0200
committerTristan Gingold <tgingold@free.fr>2021-09-11 19:20:02 +0200
commitcf57ad79e2ba7995df7e2af2d3ab7b94e040ae52 (patch)
treee0b652ec43318ece351c11ef53f276d219a2db58 /testsuite/synth/issue1860
parent55a6aefeeee7a320ad5d44e8184583f29656b3be (diff)
downloadghdl-cf57ad79e2ba7995df7e2af2d3ab7b94e040ae52.tar.gz
ghdl-cf57ad79e2ba7995df7e2af2d3ab7b94e040ae52.tar.bz2
ghdl-cf57ad79e2ba7995df7e2af2d3ab7b94e040ae52.zip
testsuite/synth: add a test for #1860
Diffstat (limited to 'testsuite/synth/issue1860')
-rw-r--r--testsuite/synth/issue1860/test.psl3
-rw-r--r--testsuite/synth/issue1860/test.vhdl7
-rw-r--r--testsuite/synth/issue1860/test_sub.vhdl6
-rwxr-xr-xtestsuite/synth/issue1860/testsuite.sh8
4 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/issue1860/test.psl b/testsuite/synth/issue1860/test.psl
new file mode 100644
index 000000000..60e004222
--- /dev/null
+++ b/testsuite/synth/issue1860/test.psl
@@ -0,0 +1,3 @@
+VUNIT test_formal (test) {
+ u_test_formal_test: ENTITY work.test_formal_test(formal);
+}
diff --git a/testsuite/synth/issue1860/test.vhdl b/testsuite/synth/issue1860/test.vhdl
new file mode 100644
index 000000000..aabda0eba
--- /dev/null
+++ b/testsuite/synth/issue1860/test.vhdl
@@ -0,0 +1,7 @@
+ENTITY test IS
+END ENTITY;
+
+ARCHITECTURE rtl OF test IS
+BEGIN
+END ARCHITECTURE;
+
diff --git a/testsuite/synth/issue1860/test_sub.vhdl b/testsuite/synth/issue1860/test_sub.vhdl
new file mode 100644
index 000000000..0872ed2bf
--- /dev/null
+++ b/testsuite/synth/issue1860/test_sub.vhdl
@@ -0,0 +1,6 @@
+ENTITY test_formal_test IS
+END ENTITY;
+
+ARCHITECTURE formal OF test_formal_test IS
+BEGIN
+END ARCHITECTURE;
diff --git a/testsuite/synth/issue1860/testsuite.sh b/testsuite/synth/issue1860/testsuite.sh
new file mode 100755
index 000000000..0525dba11
--- /dev/null
+++ b/testsuite/synth/issue1860/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth test.vhdl test.psl test_sub.vhdl -e test > syn_test.vhdl
+
+echo "Test successful"