From cf57ad79e2ba7995df7e2af2d3ab7b94e040ae52 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 11 Sep 2021 19:20:02 +0200 Subject: testsuite/synth: add a test for #1860 --- testsuite/synth/issue1860/test.psl | 3 +++ testsuite/synth/issue1860/test.vhdl | 7 +++++++ testsuite/synth/issue1860/test_sub.vhdl | 6 ++++++ testsuite/synth/issue1860/testsuite.sh | 8 ++++++++ 4 files changed, 24 insertions(+) create mode 100644 testsuite/synth/issue1860/test.psl create mode 100644 testsuite/synth/issue1860/test.vhdl create mode 100644 testsuite/synth/issue1860/test_sub.vhdl create mode 100755 testsuite/synth/issue1860/testsuite.sh (limited to 'testsuite/synth/issue1860') diff --git a/testsuite/synth/issue1860/test.psl b/testsuite/synth/issue1860/test.psl new file mode 100644 index 000000000..60e004222 --- /dev/null +++ b/testsuite/synth/issue1860/test.psl @@ -0,0 +1,3 @@ +VUNIT test_formal (test) { + u_test_formal_test: ENTITY work.test_formal_test(formal); +} diff --git a/testsuite/synth/issue1860/test.vhdl b/testsuite/synth/issue1860/test.vhdl new file mode 100644 index 000000000..aabda0eba --- /dev/null +++ b/testsuite/synth/issue1860/test.vhdl @@ -0,0 +1,7 @@ +ENTITY test IS +END ENTITY; + +ARCHITECTURE rtl OF test IS +BEGIN +END ARCHITECTURE; + diff --git a/testsuite/synth/issue1860/test_sub.vhdl b/testsuite/synth/issue1860/test_sub.vhdl new file mode 100644 index 000000000..0872ed2bf --- /dev/null +++ b/testsuite/synth/issue1860/test_sub.vhdl @@ -0,0 +1,6 @@ +ENTITY test_formal_test IS +END ENTITY; + +ARCHITECTURE formal OF test_formal_test IS +BEGIN +END ARCHITECTURE; diff --git a/testsuite/synth/issue1860/testsuite.sh b/testsuite/synth/issue1860/testsuite.sh new file mode 100755 index 000000000..0525dba11 --- /dev/null +++ b/testsuite/synth/issue1860/testsuite.sh @@ -0,0 +1,8 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 +synth test.vhdl test.psl test_sub.vhdl -e test > syn_test.vhdl + +echo "Test successful" -- cgit v1.2.3