aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1250/tb_theunit.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-20 07:34:31 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-20 07:34:31 +0200
commitbb3c706a8e91e3466983fc48e9473127c75f5970 (patch)
tree920aa905401aca164272fcbaa393eedbe1e49185 /testsuite/synth/issue1250/tb_theunit.vhdl
parent1c081e799d51ce644d33910ff801dc0479ab4a06 (diff)
downloadghdl-bb3c706a8e91e3466983fc48e9473127c75f5970.tar.gz
ghdl-bb3c706a8e91e3466983fc48e9473127c75f5970.tar.bz2
ghdl-bb3c706a8e91e3466983fc48e9473127c75f5970.zip
testsuite/synth: add test for #1250
Diffstat (limited to 'testsuite/synth/issue1250/tb_theunit.vhdl')
-rw-r--r--testsuite/synth/issue1250/tb_theunit.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/issue1250/tb_theunit.vhdl b/testsuite/synth/issue1250/tb_theunit.vhdl
new file mode 100644
index 000000000..d3defd621
--- /dev/null
+++ b/testsuite/synth/issue1250/tb_theunit.vhdl
@@ -0,0 +1,20 @@
+entity tb_theunit is
+end tb_theunit;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_theunit is
+ signal d : std_logic;
+begin
+ dut: entity work.theunit
+ port map (d);
+
+ process
+ begin
+ wait for 1 ns;
+ assert d = '1' severity failure;
+
+ wait;
+ end process;
+end behav;