aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1044
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-30 10:25:21 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-30 10:25:21 +0100
commit2bb61759d873dcffc8943f667073d472ca21a6dc (patch)
tree350875aff9ea4376eccdff05eb159a2d248f75c1 /testsuite/synth/issue1044
parent5b2d875da8d2c71f1dc5ab88c3fb4d6193afdb9f (diff)
downloadghdl-2bb61759d873dcffc8943f667073d472ca21a6dc.tar.gz
ghdl-2bb61759d873dcffc8943f667073d472ca21a6dc.tar.bz2
ghdl-2bb61759d873dcffc8943f667073d472ca21a6dc.zip
testsuite: add some tests for #1044
Diffstat (limited to 'testsuite/synth/issue1044')
-rw-r--r--testsuite/synth/issue1044/ent.vhdl19
-rwxr-xr-xtestsuite/synth/issue1044/testsuite.sh12
2 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/synth/issue1044/ent.vhdl b/testsuite/synth/issue1044/ent.vhdl
new file mode 100644
index 000000000..fc98054d0
--- /dev/null
+++ b/testsuite/synth/issue1044/ent.vhdl
@@ -0,0 +1,19 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ generic (
+ VAL : real := 1.5
+ );
+ port (
+ lt : out std_logic
+ );
+end;
+
+architecture a of ent is
+ constant fmul : real := val * 5.0;
+ constant fneg : real := -val;
+begin
+ lt <= '1' when VAL < 1.5 else '0';
+end;
+
diff --git a/testsuite/synth/issue1044/testsuite.sh b/testsuite/synth/issue1044/testsuite.sh
new file mode 100755
index 000000000..bc454cfda
--- /dev/null
+++ b/testsuite/synth/issue1044/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in ent; do
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl
+done
+
+clean
+
+echo "Test successful"