aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func01/tb_func07.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-09-22 21:09:43 +0200
committerTristan Gingold <tgingold@free.fr>2019-09-22 21:09:43 +0200
commit84ee095f80d2b5547d1a24b569587e5ebb7fc6e5 (patch)
treee73ec089f02c0fac428e8d97239a66e09ae5dea3 /testsuite/synth/func01/tb_func07.vhdl
parent65049d145253b7a6faec9c0de3f545bdd5ae2597 (diff)
downloadghdl-84ee095f80d2b5547d1a24b569587e5ebb7fc6e5.tar.gz
ghdl-84ee095f80d2b5547d1a24b569587e5ebb7fc6e5.tar.bz2
ghdl-84ee095f80d2b5547d1a24b569587e5ebb7fc6e5.zip
testsuite/synth: add testcase for previous commit.
Diffstat (limited to 'testsuite/synth/func01/tb_func07.vhdl')
-rw-r--r--testsuite/synth/func01/tb_func07.vhdl29
1 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/synth/func01/tb_func07.vhdl b/testsuite/synth/func01/tb_func07.vhdl
new file mode 100644
index 000000000..d383222a0
--- /dev/null
+++ b/testsuite/synth/func01/tb_func07.vhdl
@@ -0,0 +1,29 @@
+entity tb_func07 is
+end tb_func07;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_func07 is
+ signal v, r : std_ulogic_vector(7 downto 0);
+begin
+ dut: entity work.func07
+ port map (v, r);
+
+ process
+ begin
+ v <= "00000000";
+ wait for 1 ns;
+ assert r = x"00" severity failure;
+
+ v <= "00100100";
+ wait for 1 ns;
+ assert r = x"02" severity failure;
+
+ v <= "11100111";
+ wait for 1 ns;
+ assert r = x"06" severity failure;
+
+ wait;
+ end process;
+end behav;