From 84ee095f80d2b5547d1a24b569587e5ebb7fc6e5 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 22 Sep 2019 21:09:43 +0200 Subject: testsuite/synth: add testcase for previous commit. --- testsuite/synth/func01/tb_func07.vhdl | 29 +++++++++++++++++++++++++++++ 1 file changed, 29 insertions(+) create mode 100644 testsuite/synth/func01/tb_func07.vhdl (limited to 'testsuite/synth/func01/tb_func07.vhdl') diff --git a/testsuite/synth/func01/tb_func07.vhdl b/testsuite/synth/func01/tb_func07.vhdl new file mode 100644 index 000000000..d383222a0 --- /dev/null +++ b/testsuite/synth/func01/tb_func07.vhdl @@ -0,0 +1,29 @@ +entity tb_func07 is +end tb_func07; + +library ieee; +use ieee.std_logic_1164.all; + +architecture behav of tb_func07 is + signal v, r : std_ulogic_vector(7 downto 0); +begin + dut: entity work.func07 + port map (v, r); + + process + begin + v <= "00000000"; + wait for 1 ns; + assert r = x"00" severity failure; + + v <= "00100100"; + wait for 1 ns; + assert r = x"02" severity failure; + + v <= "11100111"; + wait for 1 ns; + assert r = x"06" severity failure; + + wait; + end process; +end behav; -- cgit v1.2.3