diff options
author | Tristan Gingold <tgingold@free.fr> | 2021-01-18 19:13:17 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2021-01-19 07:40:56 +0100 |
commit | 181943dc7378a8899353ed4b885ab5f290004677 (patch) | |
tree | d92f7642a4703bc63f9307e22b580716e83adeca /testsuite/pyunit/lsp/006opterr | |
parent | 7dc3c1979f7451eb517863a5a8c501bc6c3d0a47 (diff) | |
download | ghdl-181943dc7378a8899353ed4b885ab5f290004677.tar.gz ghdl-181943dc7378a8899353ed4b885ab5f290004677.tar.bz2 ghdl-181943dc7378a8899353ed4b885ab5f290004677.zip |
testsuite/pyunit/lsp: use absolute path and metavalue @ROOT@
Diffstat (limited to 'testsuite/pyunit/lsp/006opterr')
-rw-r--r-- | testsuite/pyunit/lsp/006opterr/cmds.json | 12 | ||||
-rw-r--r-- | testsuite/pyunit/lsp/006opterr/replies.json | 10 |
2 files changed, 11 insertions, 11 deletions
diff --git a/testsuite/pyunit/lsp/006opterr/cmds.json b/testsuite/pyunit/lsp/006opterr/cmds.json index cc96c55f4..d41a24e08 100644 --- a/testsuite/pyunit/lsp/006opterr/cmds.json +++ b/testsuite/pyunit/lsp/006opterr/cmds.json @@ -5,8 +5,8 @@ "method": "initialize", "params": { "processId": 14698, - "rootPath": ".", - "rootUri": "file://.", + "rootPath": "@ROOT@", + "rootUri": "file://@ROOT@/006opterr", "capabilities": { "workspace": { "applyEdit": true, @@ -224,8 +224,8 @@ "trace": "off", "workspaceFolders": [ { - "uri": "file://005opterr", - "name": "005opterr" + "uri": "file://@ROOT@/006opterr", + "name": "006opterr" } ] } @@ -240,7 +240,7 @@ "method": "textDocument/didOpen", "params": { "textDocument": { - "uri": "file://../files/heartbeat.vhdl", + "uri": "file://@ROOT@/files/heartbeat.vhdl", "languageId": "vhdl", "version": 1, "text": "\nlibrary ieee;\nuse ieee.std_logic_1164.all;\n\nentity heartbeat is\n port ( clk: out std_logic);\nend heartbeat;\n\narchitecture behaviour of heartbeat\nis\n constant clk_period : time := 10 ns;\nbegin\n -- Clock process definition\n clk_process: process\n begin\n clk <= '0';\n wait for clk_period/2;\n clk <= '1';\n wait for clk_period/2;\n end process;\nend behaviour;\n\n" @@ -253,7 +253,7 @@ "method": "textDocument/documentSymbol", "params": { "textDocument": { - "uri": "file://../files/heartbeat.vhdl" + "uri": "file://@ROOT@/files/heartbeat.vhdl" } } } diff --git a/testsuite/pyunit/lsp/006opterr/replies.json b/testsuite/pyunit/lsp/006opterr/replies.json index a5a142112..3c7eadd98 100644 --- a/testsuite/pyunit/lsp/006opterr/replies.json +++ b/testsuite/pyunit/lsp/006opterr/replies.json @@ -35,7 +35,7 @@ "jsonrpc": "2.0", "method": "textDocument/publishDiagnostics", "params": { - "uri": "file://../files/heartbeat.vhdl", + "uri": "file://@ROOT@/files/heartbeat.vhdl", "diagnostics": [] } }, @@ -47,7 +47,7 @@ "kind": 2, "name": "heartbeat", "location": { - "uri": "file://../files/heartbeat.vhdl", + "uri": "file://@ROOT@/files/heartbeat.vhdl", "range": { "start": { "line": 4, @@ -64,7 +64,7 @@ "kind": 2, "name": "behaviour", "location": { - "uri": "file://../files/heartbeat.vhdl", + "uri": "file://@ROOT@/files/heartbeat.vhdl", "range": { "start": { "line": 8, @@ -81,7 +81,7 @@ "kind": 6, "name": "clk_process", "location": { - "uri": "file://../files/heartbeat.vhdl", + "uri": "file://@ROOT@/files/heartbeat.vhdl", "range": { "start": { "line": 13, @@ -97,7 +97,7 @@ "kind": 2, "name": "behaviour", "location": { - "uri": "file://../files/heartbeat.vhdl", + "uri": "file://@ROOT@/files/heartbeat.vhdl", "range": { "start": { "line": 8, |