aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/006opterr/replies.json
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/lsp/006opterr/replies.json')
-rw-r--r--testsuite/pyunit/lsp/006opterr/replies.json10
1 files changed, 5 insertions, 5 deletions
diff --git a/testsuite/pyunit/lsp/006opterr/replies.json b/testsuite/pyunit/lsp/006opterr/replies.json
index a5a142112..3c7eadd98 100644
--- a/testsuite/pyunit/lsp/006opterr/replies.json
+++ b/testsuite/pyunit/lsp/006opterr/replies.json
@@ -35,7 +35,7 @@
"jsonrpc": "2.0",
"method": "textDocument/publishDiagnostics",
"params": {
- "uri": "file://../files/heartbeat.vhdl",
+ "uri": "file://@ROOT@/files/heartbeat.vhdl",
"diagnostics": []
}
},
@@ -47,7 +47,7 @@
"kind": 2,
"name": "heartbeat",
"location": {
- "uri": "file://../files/heartbeat.vhdl",
+ "uri": "file://@ROOT@/files/heartbeat.vhdl",
"range": {
"start": {
"line": 4,
@@ -64,7 +64,7 @@
"kind": 2,
"name": "behaviour",
"location": {
- "uri": "file://../files/heartbeat.vhdl",
+ "uri": "file://@ROOT@/files/heartbeat.vhdl",
"range": {
"start": {
"line": 8,
@@ -81,7 +81,7 @@
"kind": 6,
"name": "clk_process",
"location": {
- "uri": "file://../files/heartbeat.vhdl",
+ "uri": "file://@ROOT@/files/heartbeat.vhdl",
"range": {
"start": {
"line": 13,
@@ -97,7 +97,7 @@
"kind": 2,
"name": "behaviour",
"location": {
- "uri": "file://../files/heartbeat.vhdl",
+ "uri": "file://@ROOT@/files/heartbeat.vhdl",
"range": {
"start": {
"line": 8,