aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2020-12-28 18:15:03 +0100
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2020-12-28 18:16:17 +0100
commit7f703bfbb31f24c84d0b224aeb3613692bddf214 (patch)
treec94876834162bd89c6a68e460779112d93d3c910 /testsuite/pyunit/libghdl
parent77db544ae08ad40a307b6e9c76ff62cafdb21809 (diff)
downloadghdl-7f703bfbb31f24c84d0b224aeb3613692bddf214.tar.gz
ghdl-7f703bfbb31f24c84d0b224aeb3613692bddf214.tar.bz2
ghdl-7f703bfbb31f24c84d0b224aeb3613692bddf214.zip
Renamed testcase to SimpleEntity.
Diffstat (limited to 'testsuite/pyunit/libghdl')
-rw-r--r--testsuite/pyunit/libghdl/simpleEntity.vhdl27
1 files changed, 0 insertions, 27 deletions
diff --git a/testsuite/pyunit/libghdl/simpleEntity.vhdl b/testsuite/pyunit/libghdl/simpleEntity.vhdl
deleted file mode 100644
index a26a6357c..000000000
--- a/testsuite/pyunit/libghdl/simpleEntity.vhdl
+++ /dev/null
@@ -1,27 +0,0 @@
-library ieee;
-use ieee.numeric_std.all;
-
-entity e1 is
- generic (
- BITS : positive := 8
- );
- port (
- Clock: in std_logic;
- Reset: in std_logic;
- Q: out std_logic_vector(BITS - 1 downto 0)
- );
-end entity e1;
-
-architecture behav of e1 is
-begin
- process(Clock)
- begin
- if rising_edge(Clock) then
- if Reset = '1' then
- Q <= (others => '0');
- else
- Q <= std_logic_vector(unsigned(Q) + 1);
- end if;
- end if;
- end process;
-end architecture behav;