From 7f703bfbb31f24c84d0b224aeb3613692bddf214 Mon Sep 17 00:00:00 2001 From: Patrick Lehmann Date: Mon, 28 Dec 2020 18:15:03 +0100 Subject: Renamed testcase to SimpleEntity. --- testsuite/pyunit/libghdl/simpleEntity.vhdl | 27 --------------------------- 1 file changed, 27 deletions(-) delete mode 100644 testsuite/pyunit/libghdl/simpleEntity.vhdl (limited to 'testsuite/pyunit/libghdl') diff --git a/testsuite/pyunit/libghdl/simpleEntity.vhdl b/testsuite/pyunit/libghdl/simpleEntity.vhdl deleted file mode 100644 index a26a6357c..000000000 --- a/testsuite/pyunit/libghdl/simpleEntity.vhdl +++ /dev/null @@ -1,27 +0,0 @@ -library ieee; -use ieee.numeric_std.all; - -entity e1 is - generic ( - BITS : positive := 8 - ); - port ( - Clock: in std_logic; - Reset: in std_logic; - Q: out std_logic_vector(BITS - 1 downto 0) - ); -end entity e1; - -architecture behav of e1 is -begin - process(Clock) - begin - if rising_edge(Clock) then - if Reset = '1' then - Q <= (others => '0'); - else - Q <= std_logic_vector(unsigned(Q) + 1); - end if; - end if; - end process; -end architecture behav; -- cgit v1.2.3