aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-10-01 19:00:50 +0200
committerTristan Gingold <tgingold@free.fr>2021-10-01 19:00:50 +0200
commitc6a66177de52ea5dc83582c24151e8ef7e86a266 (patch)
treed1d83204480a73d6d23722ddbeaf828c5a869b29 /testsuite/gna
parent412f5b63dc798e0923342cbfc29d186b21bbe43c (diff)
downloadghdl-c6a66177de52ea5dc83582c24151e8ef7e86a266.tar.gz
ghdl-c6a66177de52ea5dc83582c24151e8ef7e86a266.tar.bz2
ghdl-c6a66177de52ea5dc83582c24151e8ef7e86a266.zip
testsuite/gna: add a test for previous commit
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/bug0120/print4.ref1
-rw-r--r--testsuite/gna/bug0120/print4.vhdl7
-rwxr-xr-xtestsuite/gna/bug0120/testsuite.sh3
3 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/bug0120/print4.ref b/testsuite/gna/bug0120/print4.ref
new file mode 100644
index 000000000..f40a3b189
--- /dev/null
+++ b/testsuite/gna/bug0120/print4.ref
@@ -0,0 +1 @@
+ signal bwe : bit_vector (3 downto 0);
diff --git a/testsuite/gna/bug0120/print4.vhdl b/testsuite/gna/bug0120/print4.vhdl
new file mode 100644
index 000000000..b0d94ad31
--- /dev/null
+++ b/testsuite/gna/bug0120/print4.vhdl
@@ -0,0 +1,7 @@
+entity ent1 is
+end entity;
+
+architecture rtl of ent1 is
+ signal bwe : bit_vector (3 downto 0);
+begin
+end architecture;
diff --git a/testsuite/gna/bug0120/testsuite.sh b/testsuite/gna/bug0120/testsuite.sh
index dd3fcfbe4..8cc423933 100755
--- a/testsuite/gna/bug0120/testsuite.sh
+++ b/testsuite/gna/bug0120/testsuite.sh
@@ -8,4 +8,7 @@ diff_nocr print1.ref print1.out
$GHDL fmt --std=08 --range=10:10 print1.vhdl > print2.out
diff_nocr print2.ref print2.out
+$GHDL fmt --range=5:5 print4.vhdl > print4.out
+diff_nocr print4.ref print4.out
+
echo "Test successful"