aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0120/print4.vhdl
blob: b0d94ad31075f951c86aba3caa05b534d7a88611 (plain)
1
2
3
4
5
6
7
entity ent1 is
end entity;

architecture rtl of ent1 is
 signal bwe : bit_vector (3 downto 0);
begin
end architecture;