aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-01-11 20:37:46 +0100
committerTristan Gingold <tgingold@free.fr>2023-01-12 06:44:24 +0100
commit63bec46536eb29604144cc807b373fd868c30166 (patch)
treeac1e447ca0a2e7bf0b673d2f2e259550ff3ddb0b /testsuite/gna
parent6eca33f6854a38d2080c3479eee1df74dde0bf74 (diff)
downloadghdl-63bec46536eb29604144cc807b373fd868c30166.tar.gz
ghdl-63bec46536eb29604144cc807b373fd868c30166.tar.bz2
ghdl-63bec46536eb29604144cc807b373fd868c30166.zip
testsuite/gna: add a test for #2303
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue2303/ent.vhdl8
-rwxr-xr-xtestsuite/gna/issue2303/testsuite.sh11
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue2303/ent.vhdl b/testsuite/gna/issue2303/ent.vhdl
new file mode 100644
index 000000000..20e5ef280
--- /dev/null
+++ b/testsuite/gna/issue2303/ent.vhdl
@@ -0,0 +1,8 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+ type type_t is range 0 to 8;
+ constant foo : type_t := 3 ** 2;
+begin
+end architecture;
diff --git a/testsuite/gna/issue2303/testsuite.sh b/testsuite/gna/issue2303/testsuite.sh
new file mode 100755
index 000000000..635505c1a
--- /dev/null
+++ b/testsuite/gna/issue2303/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze ent.vhdl
+elab_simulate_failure ent
+
+clean
+
+echo "Test successful"