aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2303/ent.vhdl
blob: 20e5ef28027c1446cc7b8505206e62945ee14dd5 (plain)
1
2
3
4
5
6
7
8
entity ent is
end entity;

architecture  a of ent is
  type type_t is range 0 to 8;
  constant foo : type_t := 3 ** 2;
begin
end architecture;