aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-06-06 08:51:05 +0200
committerTristan Gingold <tgingold@free.fr>2022-06-06 08:51:05 +0200
commit5a7df777d31fea57e798a94ddc3d3a66379adc96 (patch)
tree832c76065722230d274054e324786118ccfe57a3 /testsuite/gna
parent534c1ab53c5361d0c3668a62f3e4ce2799d0e8f2 (diff)
downloadghdl-5a7df777d31fea57e798a94ddc3d3a66379adc96.tar.gz
ghdl-5a7df777d31fea57e798a94ddc3d3a66379adc96.tar.bz2
ghdl-5a7df777d31fea57e798a94ddc3d3a66379adc96.zip
testsuite/gna: add tests for #2076
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue2076/gcrash-1a.vhdl5
-rw-r--r--testsuite/gna/issue2076/gcrash-6a.vhdl12
-rwxr-xr-xtestsuite/gna/issue2076/testsuite.sh17
3 files changed, 34 insertions, 0 deletions
diff --git a/testsuite/gna/issue2076/gcrash-1a.vhdl b/testsuite/gna/issue2076/gcrash-1a.vhdl
new file mode 100644
index 000000000..6b56a7be1
--- /dev/null
+++ b/testsuite/gna/issue2076/gcrash-1a.vhdl
@@ -0,0 +1,5 @@
+package pkg2 is
+ generic (
+ function func (a: integer) return natupac of integer
+ );
+end pkg2;
diff --git a/testsuite/gna/issue2076/gcrash-6a.vhdl b/testsuite/gna/issue2076/gcrash-6a.vhdl
new file mode 100644
index 000000000..f29b9ba36
--- /dev/null
+++ b/testsuite/gna/issue2076/gcrash-6a.vhdl
@@ -0,0 +1,12 @@
+entity full_adder_tb is
+end entity full_adder_tb;
+
+architecture sim of full_adder_tb is
+begin
+
+ process
+ begin
+ stx.env(i).b;
+ wait;
+ end process;
+end architecture sim;
diff --git a/testsuite/gna/issue2076/testsuite.sh b/testsuite/gna/issue2076/testsuite.sh
new file mode 100755
index 000000000..6e9bc3c45
--- /dev/null
+++ b/testsuite/gna/issue2076/testsuite.sh
@@ -0,0 +1,17 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+files="
+gcrash-1a.vhdl
+gcrash-6a.vhdl
+"
+
+export GHDL_STD_FLAGS=--std=08
+for f in $files; do
+ analyze_failure $f
+done
+
+clean
+
+echo "Test successful"