aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2076/gcrash-6a.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2076/gcrash-6a.vhdl')
-rw-r--r--testsuite/gna/issue2076/gcrash-6a.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/issue2076/gcrash-6a.vhdl b/testsuite/gna/issue2076/gcrash-6a.vhdl
new file mode 100644
index 000000000..f29b9ba36
--- /dev/null
+++ b/testsuite/gna/issue2076/gcrash-6a.vhdl
@@ -0,0 +1,12 @@
+entity full_adder_tb is
+end entity full_adder_tb;
+
+architecture sim of full_adder_tb is
+begin
+
+ process
+ begin
+ stx.env(i).b;
+ wait;
+ end process;
+end architecture sim;