aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-01-04 11:00:18 +0100
committerTristan Gingold <tgingold@free.fr>2019-01-04 13:21:17 +0100
commit451e59e129f4ac6d3fc35a0074c79d3a2002d379 (patch)
treeaa5cf6619862c9659185d923c9529bfda77336d9 /testsuite/gna
parentaf8bab4427fe36bef335724501c7410a7268bac5 (diff)
downloadghdl-451e59e129f4ac6d3fc35a0074c79d3a2002d379.tar.gz
ghdl-451e59e129f4ac6d3fc35a0074c79d3a2002d379.tar.bz2
ghdl-451e59e129f4ac6d3fc35a0074c79d3a2002d379.zip
Improve testcase for previous change
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/bug037/repro.vhdl11
-rwxr-xr-xtestsuite/gna/bug037/testsuite.sh4
2 files changed, 12 insertions, 3 deletions
diff --git a/testsuite/gna/bug037/repro.vhdl b/testsuite/gna/bug037/repro.vhdl
index 49e80ddc9..fc0f3e543 100644
--- a/testsuite/gna/bug037/repro.vhdl
+++ b/testsuite/gna/bug037/repro.vhdl
@@ -3,9 +3,18 @@ end;
architecture behav of repro is
subtype byte is bit_vector (7 downto 0);
- type byte_array is array (1 to 10, boolean, 'a' to 'c') of byte;
+ type byte_array is array (1 to 2, boolean, 'a' to 'c') of byte;
+ type bv_array is array (integer range <>) of bit_vector;
+
+ type my_rec is record
+ s1 : string;
+ s2 : string;
+ end record;
signal s : byte_array;
+ signal s2 : bv_array (3 downto 0)(15 downto 0);
+ signal s3 : my_rec (s1 (1 to 4), s2 (1 to 5)) := (s1 => "hi!!",
+ s2 => "world");
begin
process
begin
diff --git a/testsuite/gna/bug037/testsuite.sh b/testsuite/gna/bug037/testsuite.sh
index b5f21509e..7525440fd 100755
--- a/testsuite/gna/bug037/testsuite.sh
+++ b/testsuite/gna/bug037/testsuite.sh
@@ -2,6 +2,8 @@
. ../../testenv.sh
+GHDL_STD_FLAGS=--std=08
+
analyze repro.vhdl
if ghdl_has_feature repro dump-rti; then
elab_simulate repro --dump-rti
@@ -9,8 +11,6 @@ fi
clean
-GHDL_STD_FLAGS=--std=08
-
analyze --work=poc my_config_ML505.vhdl
analyze --work=poc my_project.vhdl
analyze --work=poc utils.vhdl