aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug037/repro.vhdl
blob: 49e80ddc9986e0247e1b41877b15407c42b03a73 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity repro is
end;

architecture behav of repro is
  subtype byte is bit_vector (7 downto 0);
  type byte_array is array (1 to 10, boolean, 'a' to 'c') of byte;

  signal s : byte_array;
begin
  process
  begin
    wait;
  end process;
end behav;