aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-05-02 18:25:24 +0200
committerTristan Gingold <tgingold@free.fr>2022-05-02 18:25:24 +0200
commit118801b0f49b8e80b2ab036523449955e0be77de (patch)
tree5e5d54f496da192bcdefac3d35b4569cab30a03e /testsuite/gna
parent57cbee4a5c089bc9bf1583e8e0b9a34c65236d02 (diff)
downloadghdl-118801b0f49b8e80b2ab036523449955e0be77de.tar.gz
ghdl-118801b0f49b8e80b2ab036523449955e0be77de.tar.bz2
ghdl-118801b0f49b8e80b2ab036523449955e0be77de.zip
testsuite/gna: add a test for previous commit
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/bug0100/oper1.vhdl11
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/oper1.vhdl b/testsuite/gna/bug0100/oper1.vhdl
new file mode 100644
index 000000000..4ba7a5bba
--- /dev/null
+++ b/testsuite/gna/bug0100/oper1.vhdl
@@ -0,0 +1,11 @@
+entity oper1 is
+ port (
+ a: bit;
+ err : out bit);
+end;
+
+architecture behav of oper1 is
+ signal b_err : bit_vector(7 downto 0);
+begin
+ err <= '1' when b_err /= (b_err'range => inp(1));
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index 485b0e443..d9e2210c4 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -32,6 +32,7 @@ analyze_failure --force-analysis varcomp.vhdl
analyze_failure --force-analysis name4.vhdl
analyze_failure --force-analysis inst2.vhdl
analyze_failure arr_err1.vhdl
+analyze_failure --force-analysis oper1.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: