aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0100/oper1.vhdl
blob: 4ba7a5bba642d21a0d5b5a81ab33670fcc1f54b0 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity oper1 is
  port (
    a:  bit;
    err : out bit);
end;

architecture behav of oper1 is
  signal b_err : bit_vector(7 downto 0);
begin
  err <= '1' when b_err /= (b_err'range => inp(1));
end behav;