aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-01-30 18:39:04 +0100
committerTristan Gingold <tgingold@free.fr>2023-01-30 19:17:25 +0100
commit0b096832e3e65dae2aead4deeee3709720cf6209 (patch)
treee1d92b8f9178710d9d298700159399d29e139cc8 /testsuite/gna
parent9fc9584912d734f6fc74b9aae12c4e932428605c (diff)
downloadghdl-0b096832e3e65dae2aead4deeee3709720cf6209.tar.gz
ghdl-0b096832e3e65dae2aead4deeee3709720cf6209.tar.bz2
ghdl-0b096832e3e65dae2aead4deeee3709720cf6209.zip
testsuite/gna: add a test for #2333
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue2333/empty.vhdl24
-rwxr-xr-xtestsuite/gna/issue2333/testsuite.sh11
2 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue2333/empty.vhdl b/testsuite/gna/issue2333/empty.vhdl
new file mode 100644
index 000000000..8a3b9911b
--- /dev/null
+++ b/testsuite/gna/issue2333/empty.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity abcdefg is
+end entity abcdefg;
+
+architecture arch of abcdefg is
+begin
+end architecture arch;
+
+-------------------------------------------
+
+
+library ieee;
+--use ieee.numeric_std.all; --using a package from IEEE (even a different one) "fixes" the crash
+
+library Bugtests;
+use Bugtests.abcdefg;
+entity abcdefg_tb is
+
+end entity;
+architecture rtl of abcdefg_tb is
+begin
+end architecture;
diff --git a/testsuite/gna/issue2333/testsuite.sh b/testsuite/gna/issue2333/testsuite.sh
new file mode 100755
index 000000000..5a342a2e2
--- /dev/null
+++ b/testsuite/gna/issue2333/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--work=bugtests
+analyze empty.vhdl
+elab_simulate abcdefg_tb
+
+clean
+
+echo "Test successful"