From 0b096832e3e65dae2aead4deeee3709720cf6209 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 30 Jan 2023 18:39:04 +0100 Subject: testsuite/gna: add a test for #2333 --- testsuite/gna/issue2333/empty.vhdl | 24 ++++++++++++++++++++++++ testsuite/gna/issue2333/testsuite.sh | 11 +++++++++++ 2 files changed, 35 insertions(+) create mode 100644 testsuite/gna/issue2333/empty.vhdl create mode 100755 testsuite/gna/issue2333/testsuite.sh (limited to 'testsuite/gna') diff --git a/testsuite/gna/issue2333/empty.vhdl b/testsuite/gna/issue2333/empty.vhdl new file mode 100644 index 000000000..8a3b9911b --- /dev/null +++ b/testsuite/gna/issue2333/empty.vhdl @@ -0,0 +1,24 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity abcdefg is +end entity abcdefg; + +architecture arch of abcdefg is +begin +end architecture arch; + +------------------------------------------- + + +library ieee; +--use ieee.numeric_std.all; --using a package from IEEE (even a different one) "fixes" the crash + +library Bugtests; +use Bugtests.abcdefg; +entity abcdefg_tb is + +end entity; +architecture rtl of abcdefg_tb is +begin +end architecture; diff --git a/testsuite/gna/issue2333/testsuite.sh b/testsuite/gna/issue2333/testsuite.sh new file mode 100755 index 000000000..5a342a2e2 --- /dev/null +++ b/testsuite/gna/issue2333/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--work=bugtests +analyze empty.vhdl +elab_simulate abcdefg_tb + +clean + +echo "Test successful" -- cgit v1.2.3