aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue787/testsuite.sh
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-03-25 20:11:06 +0100
committerTristan Gingold <tgingold@free.fr>2019-03-25 20:11:06 +0100
commit0c27469dc815ba0ff3aa8fee61c31b3bba53d695 (patch)
tree1de94b4f496d7b86e1bb8e74fa039aefb5b40c09 /testsuite/gna/issue787/testsuite.sh
parentcd4bb8b71f2eb0b2f6a99dfe44d3daa12c408d89 (diff)
downloadghdl-0c27469dc815ba0ff3aa8fee61c31b3bba53d695.tar.gz
ghdl-0c27469dc815ba0ff3aa8fee61c31b3bba53d695.tar.bz2
ghdl-0c27469dc815ba0ff3aa8fee61c31b3bba53d695.zip
Add testcase for #787
Diffstat (limited to 'testsuite/gna/issue787/testsuite.sh')
-rwxr-xr-xtestsuite/gna/issue787/testsuite.sh10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue787/testsuite.sh b/testsuite/gna/issue787/testsuite.sh
new file mode 100755
index 000000000..9bc0d1b47
--- /dev/null
+++ b/testsuite/gna/issue787/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze ent.vhdl
+elab_simulate ent
+
+clean
+
+echo "Test successful"