aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue697
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-11-19 04:58:28 +0100
committerTristan Gingold <tgingold@free.fr>2018-11-19 04:58:28 +0100
commitebd5605376e53078d6de6c08fc2917452260c3fd (patch)
tree4a0eaf3c0bea0e6c424c481d43e71e013bac9b86 /testsuite/gna/issue697
parent237cc1e98a703c03da0df40b012c824ab547544c (diff)
downloadghdl-ebd5605376e53078d6de6c08fc2917452260c3fd.tar.gz
ghdl-ebd5605376e53078d6de6c08fc2917452260c3fd.tar.bz2
ghdl-ebd5605376e53078d6de6c08fc2917452260c3fd.zip
Add testcase for #697
Diffstat (limited to 'testsuite/gna/issue697')
-rw-r--r--testsuite/gna/issue697/test.vhdl25
-rw-r--r--testsuite/gna/issue697/test2.vhdl25
-rwxr-xr-xtestsuite/gna/issue697/testsuite.sh13
3 files changed, 63 insertions, 0 deletions
diff --git a/testsuite/gna/issue697/test.vhdl b/testsuite/gna/issue697/test.vhdl
new file mode 100644
index 000000000..b7ccec6f6
--- /dev/null
+++ b/testsuite/gna/issue697/test.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package a is
+ generic(
+ size: positive
+ );
+ subtype t is std_logic_vector(size-1 downto 0);
+end package a;
+
+
+entity test is
+end test;
+
+architecture dataflow of test is
+ package p is new work.a generic map(
+ size => 3
+ );
+begin
+ entities:
+ for i in 0 to 7 generate
+ signal a: work.a.t;
+ begin
+ end generate entities;
+end dataflow;
diff --git a/testsuite/gna/issue697/test2.vhdl b/testsuite/gna/issue697/test2.vhdl
new file mode 100644
index 000000000..443c17cf9
--- /dev/null
+++ b/testsuite/gna/issue697/test2.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package a2 is
+ generic(
+ size: positive
+ );
+ subtype t is std_logic_vector(size-1 downto 0);
+end package a2;
+
+
+entity test2 is
+end test2;
+
+architecture dataflow of test2 is
+ package p is new work.a2 generic map(
+ size => 3
+ );
+begin
+ entities:
+ for i in 0 to 7 generate
+ signal a: p.t;
+ begin
+ end generate entities;
+end dataflow;
diff --git a/testsuite/gna/issue697/testsuite.sh b/testsuite/gna/issue697/testsuite.sh
new file mode 100755
index 000000000..004eeb818
--- /dev/null
+++ b/testsuite/gna/issue697/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure test.vhdl
+
+analyze test2.vhdl
+elab_simulate test2
+
+clean
+
+echo "Test successful"