aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue697/test.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue697/test.vhdl')
-rw-r--r--testsuite/gna/issue697/test.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue697/test.vhdl b/testsuite/gna/issue697/test.vhdl
new file mode 100644
index 000000000..b7ccec6f6
--- /dev/null
+++ b/testsuite/gna/issue697/test.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package a is
+ generic(
+ size: positive
+ );
+ subtype t is std_logic_vector(size-1 downto 0);
+end package a;
+
+
+entity test is
+end test;
+
+architecture dataflow of test is
+ package p is new work.a generic map(
+ size => 3
+ );
+begin
+ entities:
+ for i in 0 to 7 generate
+ signal a: work.a.t;
+ begin
+ end generate entities;
+end dataflow;