diff options
author | Tristan Gingold <tgingold@free.fr> | 2018-09-17 20:38:55 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2018-09-17 20:40:48 +0200 |
commit | 2b51cb98adb90daf116f25a4fb7bc3e2fc7dd4a7 (patch) | |
tree | 43e0b09b244b3cf600a740fa0270b3da32e769c4 /testsuite/gna/issue652 | |
parent | 000a7f22208761c2d66856d9808f6095f745c5e7 (diff) | |
download | ghdl-2b51cb98adb90daf116f25a4fb7bc3e2fc7dd4a7.tar.gz ghdl-2b51cb98adb90daf116f25a4fb7bc3e2fc7dd4a7.tar.bz2 ghdl-2b51cb98adb90daf116f25a4fb7bc3e2fc7dd4a7.zip |
Add testcase for alias of library.
Diffstat (limited to 'testsuite/gna/issue652')
-rw-r--r-- | testsuite/gna/issue652/lib_alias.vhdl | 9 | ||||
-rwxr-xr-x | testsuite/gna/issue652/testsuite.sh | 2 |
2 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue652/lib_alias.vhdl b/testsuite/gna/issue652/lib_alias.vhdl new file mode 100644 index 000000000..ff8fd4596 --- /dev/null +++ b/testsuite/gna/issue652/lib_alias.vhdl @@ -0,0 +1,9 @@ +library ieee; +entity foo is +end entity; +architecture fum of foo is + alias fee is ieee; -- non-object alias + use fee.std_logic_1164.all; + signal s : std_logic; +begin +end architecture; diff --git a/testsuite/gna/issue652/testsuite.sh b/testsuite/gna/issue652/testsuite.sh index fb70e2afd..474015bda 100755 --- a/testsuite/gna/issue652/testsuite.sh +++ b/testsuite/gna/issue652/testsuite.sh @@ -4,6 +4,8 @@ analyze_failure --work=clock --warn-hide ent.vhdl +analyze lib_alias.vhdl + clean echo "Test successful" |