aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue652/lib_alias.vhdl
blob: ff8fd45964f31430a45f44631a31b3b3821340d4 (plain)
1
2
3
4
5
6
7
8
9
library ieee;
entity foo is
end entity;
architecture fum of foo is
    alias fee is ieee;  -- non-object alias
    use fee.std_logic_1164.all;
    signal s : std_logic;
begin
end architecture;