aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1657/test_entity.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-09-07 06:01:35 +0200
committerTristan Gingold <tgingold@free.fr>2021-09-07 18:20:04 +0200
commit3b503f2cfdd213cde2ae84004d1e594398e1a656 (patch)
tree9451ea5f1485a239ff40f45741a1f243a374dc00 /testsuite/gna/issue1657/test_entity.vhdl
parent904efedb1c9dc280f49dfab660c12a0736fc31f3 (diff)
downloadghdl-3b503f2cfdd213cde2ae84004d1e594398e1a656.tar.gz
ghdl-3b503f2cfdd213cde2ae84004d1e594398e1a656.tar.bz2
ghdl-3b503f2cfdd213cde2ae84004d1e594398e1a656.zip
testsuite/gna: add a test for #1657
Diffstat (limited to 'testsuite/gna/issue1657/test_entity.vhdl')
-rw-r--r--testsuite/gna/issue1657/test_entity.vhdl26
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/issue1657/test_entity.vhdl b/testsuite/gna/issue1657/test_entity.vhdl
new file mode 100644
index 000000000..96f0dc58d
--- /dev/null
+++ b/testsuite/gna/issue1657/test_entity.vhdl
@@ -0,0 +1,26 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use std.env.finish;
+
+
+entity test is
+end entity test;
+
+architecture rtl of test is
+
+ type std_logic_vector_array is array(natural range <>) of std_logic_vector;
+ signal test_sig : std_logic_vector_array(0 to 3)(7 downto 0) := (others => (others => '0'));
+
+begin
+
+ Test_Proc: process
+ begin
+ for i in 0 to 15 loop
+ test_sig <= test_sig(1 to 3) & std_logic_vector'(x"FF");
+ wait for 1 us;
+ report "TESTING" severity note;
+ end loop;
+ finish;
+ end process;
+
+end architecture rtl; \ No newline at end of file