aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1379/bar1.vhdl
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-06-26 18:14:52 +0200
committerTristan Gingold <tgingold@free.fr>2020-06-26 19:48:44 +0200
commit19268309ee768de9c78f11e86071aaa9b3b28a06 (patch)
treeb73883f453a2e63937ebae23fa926452b50efc20 /testsuite/gna/issue1379/bar1.vhdl
parentc96c4bd50b89806af87fd85430be544a04bdb5c9 (diff)
downloadghdl-19268309ee768de9c78f11e86071aaa9b3b28a06.tar.gz
ghdl-19268309ee768de9c78f11e86071aaa9b3b28a06.tar.bz2
ghdl-19268309ee768de9c78f11e86071aaa9b3b28a06.zip
testsuite/gna: add tests for #1379
Diffstat (limited to 'testsuite/gna/issue1379/bar1.vhdl')
-rw-r--r--testsuite/gna/issue1379/bar1.vhdl35
1 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue1379/bar1.vhdl b/testsuite/gna/issue1379/bar1.vhdl
new file mode 100644
index 000000000..1fac5c2ba
--- /dev/null
+++ b/testsuite/gna/issue1379/bar1.vhdl
@@ -0,0 +1,35 @@
+entity foo is
+ generic (
+ LENGTH : natural
+ );
+ port (
+ input : in bit_vector(LENGTH - 1 downto 0)
+ );
+end foo;
+
+architecture behave of foo is
+begin
+end behave;
+
+entity bar is
+end entity bar;
+
+architecture behave of bar is
+ component foo is
+ port (
+ input : in bit_vector(7 downto 0)
+ );
+ end component;
+
+begin
+
+ my_foo : foo
+ port map (
+ input => (others => '0')
+ );
+end behave;
+
+configuration cfg of bar is
+ for behave
+ end for;
+end cfg;