diff options
author | Tristan Gingold <tgingold@free.fr> | 2018-12-15 07:41:09 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2018-12-16 07:05:32 +0100 |
commit | 814d63034a45f14c6eb1d00d7446537777ed855f (patch) | |
tree | ec0a9af9eab6b8785e7b9e96e24c0bbe0899ee4b /testsuite/gna/bug094/topa.vhdl | |
parent | 6f3f9645ef7f05150dd13488025d419deb29c6da (diff) | |
download | ghdl-814d63034a45f14c6eb1d00d7446537777ed855f.tar.gz ghdl-814d63034a45f14c6eb1d00d7446537777ed855f.tar.bz2 ghdl-814d63034a45f14c6eb1d00d7446537777ed855f.zip |
Tests for errors in imported units.
Diffstat (limited to 'testsuite/gna/bug094/topa.vhdl')
-rw-r--r-- | testsuite/gna/bug094/topa.vhdl | 33 |
1 files changed, 33 insertions, 0 deletions
diff --git a/testsuite/gna/bug094/topa.vhdl b/testsuite/gna/bug094/topa.vhdl new file mode 100644 index 000000000..fc94dfde4 --- /dev/null +++ b/testsuite/gna/bug094/topa.vhdl @@ -0,0 +1,33 @@ +entity topa is +end topa; + +architecture behav of topa is + signal clk : bit; + signal v : bit_vector (31 downto 0); + signal done : boolean := false; +begin + dut : entity work.enta + port map (clk => clk, + data => v); + + process + begin + clk <= '0'; + wait for 10 ns; + clk <= '1'; + wait for 10 ns; + if done then + wait; + end if; + end process; + + process + begin + v <= x"12345678"; + wait for 40 ns; + v <= x"00000000"; + wait for 80 ns; + done <= true; + wait; + end process; +end behav; |