aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-ieee-std_logic_1164.adb
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-12 11:55:59 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-12 23:31:19 +0200
commit0c5a56a8e880987fc1edb8dcf5a9ce5e01cb91b3 (patch)
treed481b68fc4c068c2138fb960561d6fc71b2c160c /src/vhdl/vhdl-ieee-std_logic_1164.adb
parent1421145c299fbfa272b58f3a82f0142793fab3b1 (diff)
downloadghdl-0c5a56a8e880987fc1edb8dcf5a9ce5e01cb91b3.tar.gz
ghdl-0c5a56a8e880987fc1edb8dcf5a9ce5e01cb91b3.tar.bz2
ghdl-0c5a56a8e880987fc1edb8dcf5a9ce5e01cb91b3.zip
synth-oper: recognize more operations from std_logic_arith.
Diffstat (limited to 'src/vhdl/vhdl-ieee-std_logic_1164.adb')
-rw-r--r--src/vhdl/vhdl-ieee-std_logic_1164.adb2
1 files changed, 2 insertions, 0 deletions
diff --git a/src/vhdl/vhdl-ieee-std_logic_1164.adb b/src/vhdl/vhdl-ieee-std_logic_1164.adb
index 6947cb612..58fe96229 100644
--- a/src/vhdl/vhdl-ieee-std_logic_1164.adb
+++ b/src/vhdl/vhdl-ieee-std_logic_1164.adb
@@ -300,6 +300,8 @@ package body Vhdl.Ieee.Std_Logic_1164 is
-- parameter, clear the flag to allow more optimizations.
Set_Has_Active_Flag
(Get_Interface_Declaration_Chain (Decl), False);
+ when Name_To_Bit =>
+ Predefined := Iir_Predefined_Ieee_1164_To_Bit;
when Name_To_Bitvector =>
Predefined := Iir_Predefined_Ieee_1164_To_Bitvector;
when Name_To_Stdulogic =>