aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/simulate
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-05-05 06:57:01 +0200
committerTristan Gingold <tgingold@free.fr>2019-05-05 06:57:01 +0200
commitd1f0fedf7882cf1b15ea6450da5bbd878d007a98 (patch)
tree39c7312a2f1bbe3e5f357a22dd1df4fa228b3535 /src/vhdl/simulate
parent19a9154fb3fadd0a33a6826e525091a9a75687e4 (diff)
downloadghdl-d1f0fedf7882cf1b15ea6450da5bbd878d007a98.tar.gz
ghdl-d1f0fedf7882cf1b15ea6450da5bbd878d007a98.tar.bz2
ghdl-d1f0fedf7882cf1b15ea6450da5bbd878d007a98.zip
vhdl: move canon to a vhdl child package.
Diffstat (limited to 'src/vhdl/simulate')
-rw-r--r--src/vhdl/simulate/simul-debugger.adb4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/vhdl/simulate/simul-debugger.adb b/src/vhdl/simulate/simul-debugger.adb
index c43c96df9..10789cb25 100644
--- a/src/vhdl/simulate/simul-debugger.adb
+++ b/src/vhdl/simulate/simul-debugger.adb
@@ -28,7 +28,7 @@ with Vhdl.Scanner;
with Vhdl.Tokens;
with Sem_Expr;
with Sem_Scopes;
-with Canon;
+with Vhdl.Canon;
with Std_Names;
with Libraries;
with Std_Package;
@@ -1929,7 +1929,7 @@ package body Simul.Debugger is
New_Line;
Annotate_Expand_Table;
- Canon.Canon_Expression (Expr);
+ Vhdl.Canon.Canon_Expression (Expr);
Mark (Marker, Expr_Pool);