aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/simulate/simul-environments.ads
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-04-20 07:49:03 +0200
committerTristan Gingold <tgingold@free.fr>2020-04-20 12:19:48 +0200
commitcb72a1834f160d95d026b7e466886fd95fd82146 (patch)
tree87cd0fff5a8ce03b05b8e6a0a0129b60de90fe61 /src/vhdl/simulate/simul-environments.ads
parentb6d42a577f4ee5f2084a165b0cdf66cadcc878a1 (diff)
downloadghdl-cb72a1834f160d95d026b7e466886fd95fd82146.tar.gz
ghdl-cb72a1834f160d95d026b7e466886fd95fd82146.tar.bz2
ghdl-cb72a1834f160d95d026b7e466886fd95fd82146.zip
types: introduce Direction_Type, which replaces Iir_Direction.
Global renaming.
Diffstat (limited to 'src/vhdl/simulate/simul-environments.ads')
-rw-r--r--src/vhdl/simulate/simul-environments.ads9
1 files changed, 6 insertions, 3 deletions
diff --git a/src/vhdl/simulate/simul-environments.ads b/src/vhdl/simulate/simul-environments.ads
index dd0ca8b55..1d07d6bbc 100644
--- a/src/vhdl/simulate/simul-environments.ads
+++ b/src/vhdl/simulate/simul-environments.ads
@@ -17,6 +17,9 @@
-- 02111-1307, USA.
with Ada.Unchecked_Deallocation;
+
+with Types; use Types;
+
with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Annotations; use Vhdl.Annotations;
with Grt.Types; use Grt.Types;
@@ -201,7 +204,7 @@ package Simul.Environments is
when Iir_Value_Instance =>
Instance : Block_Instance_Acc;
when Iir_Value_Range =>
- Dir: Iir_Direction;
+ Dir: Direction_Type;
Length : Iir_Index32;
Left: Iir_Value_Literal_Acc;
Right: Iir_Value_Literal_Acc;
@@ -338,14 +341,14 @@ package Simul.Environments is
-- Create a range_value of life LIFE.
function Create_Range_Value
(Left, Right : Iir_Value_Literal_Acc;
- Dir : Iir_Direction;
+ Dir : Direction_Type;
Length : Iir_Index32)
return Iir_Value_Literal_Acc;
-- Create a range_value (compute the length)
function Create_Range_Value
(Left, Right : Iir_Value_Literal_Acc;
- Dir : Iir_Direction)
+ Dir : Direction_Type)
return Iir_Value_Literal_Acc;
-- Return true if the value of LEFT and RIGHT are equal.