aboutsummaryrefslogtreecommitdiffstats
path: root/pyGHDL/dom
diff options
context:
space:
mode:
authorPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-08-24 07:56:33 +0200
committerPatrick Lehmann <Patrick.Lehmann@plc2.de>2021-08-26 21:08:12 +0200
commitcb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb (patch)
tree400b445f81fd63ccd0836776e81351f3c99b4640 /pyGHDL/dom
parenta6d0550e54a6243f4cc716ce98f348458c865fe8 (diff)
downloadghdl-cb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb.tar.gz
ghdl-cb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb.tar.bz2
ghdl-cb0ec4a5d35d6eb071864f42adefd8d4e2ebaabb.zip
Translate sequential procedure calls.
Diffstat (limited to 'pyGHDL/dom')
-rw-r--r--pyGHDL/dom/Sequential.py6
-rw-r--r--pyGHDL/dom/_Translate.py8
2 files changed, 7 insertions, 7 deletions
diff --git a/pyGHDL/dom/Sequential.py b/pyGHDL/dom/Sequential.py
index 70a16e4cd..372939b28 100644
--- a/pyGHDL/dom/Sequential.py
+++ b/pyGHDL/dom/Sequential.py
@@ -424,11 +424,11 @@ class SequentialProcedureCall(VHDLModel_SequentialProcedureCall, DOMMixin):
def parse(cls, callNode: Iir, label: str) -> "SequentialProcedureCall":
from pyGHDL.dom._Translate import GetNameFromNode, GetParameterMapAspect
- call = nodes.Get_Procedure_Call(callNode)
+ cNode = nodes.Get_Procedure_Call(callNode)
- prefix = nodes.Get_Prefix(call)
+ prefix = nodes.Get_Prefix(cNode)
procedureName = GetNameFromNode(prefix)
- parameterAssociations = GetParameterMapAspect(nodes.Get_Parameter_Association_Chain(callNode))
+ parameterAssociations = GetParameterMapAspect(nodes.Get_Parameter_Association_Chain(cNode))
return cls(callNode, procedureName, parameterAssociations, label)
diff --git a/pyGHDL/dom/_Translate.py b/pyGHDL/dom/_Translate.py
index 5322760ab..9fe6e9ddd 100644
--- a/pyGHDL/dom/_Translate.py
+++ b/pyGHDL/dom/_Translate.py
@@ -41,7 +41,9 @@ from pyGHDL.dom.Sequential import (
SequentialReportStatement,
SequentialAssertStatement,
WaitStatement,
- SequentialSimpleSignalAssignment, NullStatement,
+ SequentialSimpleSignalAssignment,
+ NullStatement,
+ SequentialProcedureCall,
)
from pyVHDLModel.SyntaxModel import (
ConstraintUnion,
@@ -967,9 +969,7 @@ def GetSequentialStatementsFromChainedNodes(
elif kind == nodes.Iir_Kind.Wait_Statement:
yield WaitStatement.parse(statement, label)
elif kind == nodes.Iir_Kind.Procedure_Call_Statement:
- print(
- "[NOT IMPLEMENTED] Procedure call (label: '{label}') at line {line}".format(label=label, line=pos.Line)
- )
+ yield SequentialProcedureCall.parse(statement, label)
elif kind == nodes.Iir_Kind.Report_Statement:
yield SequentialReportStatement.parse(statement, label)
elif kind == nodes.Iir_Kind.Assertion_Statement: