aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-08-11 17:55:43 +0200
committerTristan Gingold <tgingold@free.fr>2022-08-11 17:55:43 +0200
commitcdcbb258be1aefa2244b3a262863544d04d686f8 (patch)
treea995459ab494e07175c32c70f9209d4089c2c012
parent9e1ab116c25aa8c26aa39374e164ec754685f065 (diff)
downloadghdl-cdcbb258be1aefa2244b3a262863544d04d686f8.tar.gz
ghdl-cdcbb258be1aefa2244b3a262863544d04d686f8.tar.bz2
ghdl-cdcbb258be1aefa2244b3a262863544d04d686f8.zip
testsuite/gna: add a test for #2173
-rw-r--r--testsuite/gna/issue2173/test1.vhdl30
-rw-r--r--testsuite/gna/issue2173/test2.vhdl17
-rwxr-xr-xtestsuite/gna/issue2173/testsuite.sh11
3 files changed, 58 insertions, 0 deletions
diff --git a/testsuite/gna/issue2173/test1.vhdl b/testsuite/gna/issue2173/test1.vhdl
new file mode 100644
index 000000000..e76381ae8
--- /dev/null
+++ b/testsuite/gna/issue2173/test1.vhdl
@@ -0,0 +1,30 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test is
+end entity;
+
+architecture rtl of test is
+ signal sig : unsigned(1 downto 0);
+begin
+end architecture;
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test1 is
+end entity;
+
+architecture rtl of test1 is
+begin
+ i_test : entity work.test;
+
+ p_proc : process
+ alias sig is <<signal i_test.sig : unsigned>>;
+ begin
+ sig <= force "11";
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/issue2173/test2.vhdl b/testsuite/gna/issue2173/test2.vhdl
new file mode 100644
index 000000000..9901b3f45
--- /dev/null
+++ b/testsuite/gna/issue2173/test2.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test2 is
+end entity;
+
+architecture rtl of test2 is
+ signal s : unsigned(1 downto 0);
+begin
+ p_proc : process
+ alias sig : unsigned is s ;
+ begin
+ sig <= force "11";
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/issue2173/testsuite.sh b/testsuite/gna/issue2173/testsuite.sh
new file mode 100755
index 000000000..ab5ea3cb8
--- /dev/null
+++ b/testsuite/gna/issue2173/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+run "$GHDL" -s $GHDL_STD_FLAGS test1.vhdl
+run "$GHDL" -s $GHDL_STD_FLAGS test2.vhdl
+
+clean
+
+echo "Test successful"