aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2173/test2.vhdl
blob: 9901b3f45ba1a456e761a9a72860aab10e22afa8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test2 is
end entity;

architecture rtl of test2 is
  signal s : unsigned(1 downto 0);
begin
  p_proc : process
    alias sig : unsigned  is s ;
  begin
    sig <= force "11";
    wait;
  end process;
end architecture;