aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-08-06 07:50:51 +0200
committerTristan Gingold <tgingold@free.fr>2019-08-06 07:50:51 +0200
commitc6aa6e154c8f34107526aac0a373efe1e7b76ef8 (patch)
tree80b587b1262e5cedc9b5e45e7f33ebd47e6401ec
parent5bf9308b47bfb71ebec95316351c4ee1039a3a90 (diff)
downloadghdl-c6aa6e154c8f34107526aac0a373efe1e7b76ef8.tar.gz
ghdl-c6aa6e154c8f34107526aac0a373efe1e7b76ef8.tar.bz2
ghdl-c6aa6e154c8f34107526aac0a373efe1e7b76ef8.zip
Add more tests in issue613
-rw-r--r--testsuite/gna/issue613/t3.vhdl1
-rwxr-xr-xtestsuite/gna/issue613/testsuite.sh4
2 files changed, 5 insertions, 0 deletions
diff --git a/testsuite/gna/issue613/t3.vhdl b/testsuite/gna/issue613/t3.vhdl
index 51105e379..0cb9902e8 100644
--- a/testsuite/gna/issue613/t3.vhdl
+++ b/testsuite/gna/issue613/t3.vhdl
@@ -4,4 +4,5 @@ end;
architecture behav of t3 is
constant t1 : time := ps;
begin
+ assert time'pos(t1) = 1 severity failure;
end behav;
diff --git a/testsuite/gna/issue613/testsuite.sh b/testsuite/gna/issue613/testsuite.sh
index 4fb7d0126..2b7c01f4f 100755
--- a/testsuite/gna/issue613/testsuite.sh
+++ b/testsuite/gna/issue613/testsuite.sh
@@ -18,6 +18,10 @@ else
elab_simulate --time-resolution=auto ent
+ analyze t3.vhdl
+ elab_simulate --time-resolution=ps t3
+ elab_simulate --time-resolution=auto t3
+
analyze t87.vhdl
elab_simulate --time-resolution=ps t87
elab_simulate --time-resolution=auto t87