aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue613/t3.vhdl
blob: 51105e37939e885f128cefa3d220cc9f2d76434f (plain)
1
2
3
4
5
6
7
entity t3 is
end;

architecture behav of t3 is
  constant t1 : time := ps;
begin
end behav;