aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-12-19 18:32:18 +0100
committerTristan Gingold <tgingold@free.fr>2022-12-19 18:32:18 +0100
commit6185c8d2de85828d53135433019968aa54699947 (patch)
treef76b1c42bd434223e6278374d137f6f20572b145
parentc5b1522aec8cbb90167e0a7d7b04e73d6b96fa21 (diff)
downloadghdl-6185c8d2de85828d53135433019968aa54699947.tar.gz
ghdl-6185c8d2de85828d53135433019968aa54699947.tar.bz2
ghdl-6185c8d2de85828d53135433019968aa54699947.zip
testsuite/gna: add a test for #2276
-rw-r--r--testsuite/gna/issue2276/mwe.vhdl16
-rwxr-xr-xtestsuite/gna/issue2276/testsuite.sh11
2 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue2276/mwe.vhdl b/testsuite/gna/issue2276/mwe.vhdl
new file mode 100644
index 000000000..28b06009e
--- /dev/null
+++ b/testsuite/gna/issue2276/mwe.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity mwe is
+end entity;
+
+architecture tb of mwe is
+
+ constant ic_slv : std_logic_vector(63 downto 0) := x"7000000000000228";
+ signal ic_bv : bit_vector(ic_slv'range);
+
+begin
+
+ ic_bv <= to_bitvector(ic_slv);
+
+end architecture;
diff --git a/testsuite/gna/issue2276/testsuite.sh b/testsuite/gna/issue2276/testsuite.sh
new file mode 100755
index 000000000..4ade39fc0
--- /dev/null
+++ b/testsuite/gna/issue2276/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze mwe.vhdl
+elab_simulate mwe
+
+clean
+
+echo "Test successful"